BlogDocs

Time allocation

Benchmarks

test_daxpy[100-c]benchmark/pybench/benchmarks/bench_blas.py::test_daxpy[100-c]created
4 months ago
, last run
10 hours ago
0
264
24.2 µs
test_daxpy[100-d]benchmark/pybench/benchmarks/bench_blas.py::test_daxpy[100-d]created
4 months ago
, last run
10 hours ago
0
264
23.2 µs
test_daxpy[100-s]benchmark/pybench/benchmarks/bench_blas.py::test_daxpy[100-s]created
4 months ago
, last run
10 hours ago
0
264
23.3 µs
test_daxpy[100-z]benchmark/pybench/benchmarks/bench_blas.py::test_daxpy[100-z]created
4 months ago
, last run
10 hours ago
0
264
24.8 µs
test_daxpy[1000-c]benchmark/pybench/benchmarks/bench_blas.py::test_daxpy[1000-c]created
4 months ago
, last run
10 hours ago
0
264
31.8 µs
test_daxpy[1000-d]benchmark/pybench/benchmarks/bench_blas.py::test_daxpy[1000-d]created
4 months ago
, last run
10 hours ago
0
264
31.3 µs
test_daxpy[1000-s]benchmark/pybench/benchmarks/bench_blas.py::test_daxpy[1000-s]created
4 months ago
, last run
10 hours ago
0
264
26.7 µs
test_daxpy[1000-z]benchmark/pybench/benchmarks/bench_blas.py::test_daxpy[1000-z]created
4 months ago
, last run
10 hours ago
0
264
39.6 µs
test_dgbmv[1-100-c]benchmark/pybench/benchmarks/bench_blas.py::test_dgbmv[1-100-c]created
4 months ago
, last run
10 hours ago
0
262
39.3 µs
test_dgbmv[1-100-d]benchmark/pybench/benchmarks/bench_blas.py::test_dgbmv[1-100-d]created
4 months ago
, last run
10 hours ago
0
262
36.8 µs
test_dgbmv[1-100-s]benchmark/pybench/benchmarks/bench_blas.py::test_dgbmv[1-100-s]created
4 months ago
, last run
10 hours ago
0
262
36.2 µs
test_dgbmv[1-100-z]benchmark/pybench/benchmarks/bench_blas.py::test_dgbmv[1-100-z]created
4 months ago
, last run
10 hours ago
0
262
40.9 µs
test_dgbmv[1-1000-c]benchmark/pybench/benchmarks/bench_blas.py::test_dgbmv[1-1000-c]created
4 months ago
, last run
10 hours ago
0
262
98.5 µs
test_dgbmv[1-1000-d]benchmark/pybench/benchmarks/bench_blas.py::test_dgbmv[1-1000-d]created
4 months ago
, last run
10 hours ago
0
262
82.4 µs
test_dgbmv[1-1000-s]benchmark/pybench/benchmarks/bench_blas.py::test_dgbmv[1-1000-s]created
4 months ago
, last run
10 hours ago
0
262
73.6 µs
test_dgbmv[1-1000-z]benchmark/pybench/benchmarks/bench_blas.py::test_dgbmv[1-1000-z]created
4 months ago
, last run
10 hours ago
0
262
117.6 µs
test_dgemv[100-c]benchmark/pybench/benchmarks/bench_blas.py::test_dgemv[100-c]created
4 months ago
, last run
10 hours ago
0
262
148.4 µs
test_dgemv[100-d]benchmark/pybench/benchmarks/bench_blas.py::test_dgemv[100-d]created
4 months ago
, last run
10 hours ago
0
262
145.8 µs
test_dgemv[100-s]benchmark/pybench/benchmarks/bench_blas.py::test_dgemv[100-s]created
4 months ago
, last run
10 hours ago
0
262
108.5 µs
test_dgemv[100-z]benchmark/pybench/benchmarks/bench_blas.py::test_dgemv[100-z]created
4 months ago
, last run
10 hours ago
0
262
229.5 µs
test_dgemv[1000-c]benchmark/pybench/benchmarks/bench_blas.py::test_dgemv[1000-c]created
4 months ago
, last run
10 hours ago
0
262
14.8 ms
test_dgemv[1000-d]benchmark/pybench/benchmarks/bench_blas.py::test_dgemv[1000-d]created
4 months ago
, last run
10 hours ago
0
262
14.7 ms
test_dgemv[1000-s]benchmark/pybench/benchmarks/bench_blas.py::test_dgemv[1000-s]created
4 months ago
, last run
10 hours ago
0
262
7.7 ms
test_dgemv[1000-z]benchmark/pybench/benchmarks/bench_blas.py::test_dgemv[1000-z]created
4 months ago
, last run
10 hours ago
0
262
26.3 ms
test_dot[1000]benchmark/pybench/benchmarks/bench_blas.py::test_dot[1000]created
5 months ago
, last run
10 hours ago
0
367
28.3 µs
test_dot[100]benchmark/pybench/benchmarks/bench_blas.py::test_dot[100]created
5 months ago
, last run
10 hours ago
0
367
22.2 µs
test_gemm[100-c]benchmark/pybench/benchmarks/bench_blas.py::test_gemm[100-c]created
4 months ago
, last run
10 hours ago
0
264
658.7 µs
test_gemm[100-d]benchmark/pybench/benchmarks/bench_blas.py::test_gemm[100-d]created
4 months ago
, last run
10 hours ago
0
264
470.3 µs
test_gemm[100-s]benchmark/pybench/benchmarks/bench_blas.py::test_gemm[100-s]created
4 months ago
, last run
10 hours ago
0
264
271.6 µs
test_gemm[100-z]benchmark/pybench/benchmarks/bench_blas.py::test_gemm[100-z]created
4 months ago
, last run
10 hours ago
0
264
1.2 ms
test_gemm[1000-c]benchmark/pybench/benchmarks/bench_blas.py::test_gemm[1000-c]created
4 months ago
, last run
10 hours ago
0
264
426 ms
test_gemm[1000-d]benchmark/pybench/benchmarks/bench_blas.py::test_gemm[1000-d]created
4 months ago
, last run
10 hours ago
0
264
239.4 ms
test_gemm[1000-s]benchmark/pybench/benchmarks/bench_blas.py::test_gemm[1000-s]created
4 months ago
, last run
10 hours ago
0
264
117.4 ms
test_gemm[1000-z]benchmark/pybench/benchmarks/bench_blas.py::test_gemm[1000-z]created
4 months ago
, last run
10 hours ago
0
264
875.6 ms
test_gesdd[mn0-d]benchmark/pybench/benchmarks/bench_blas.py::test_gesdd[mn0-d]created
4 months ago
, last run
10 hours ago
0
264
118.6 µs
test_gesdd[mn0-s]benchmark/pybench/benchmarks/bench_blas.py::test_gesdd[mn0-s]created
4 months ago
, last run
10 hours ago
0
264
107.3 µs
test_gesdd[mn1-d]benchmark/pybench/benchmarks/bench_blas.py::test_gesdd[mn1-d]created
4 months ago
, last run
10 hours ago
0
264
92.7 ms
test_gesdd[mn1-s]benchmark/pybench/benchmarks/bench_blas.py::test_gesdd[mn1-s]created
4 months ago
, last run
10 hours ago
0
264
63.8 ms
test_gesv[100-c]benchmark/pybench/benchmarks/bench_blas.py::test_gesv[100-c]created
4 months ago
, last run
10 hours ago
0
264
694.5 µs
test_gesv[100-d]benchmark/pybench/benchmarks/bench_blas.py::test_gesv[100-d]created
4 months ago
, last run
10 hours ago
0
264
394.3 µs
test_gesv[100-s]benchmark/pybench/benchmarks/bench_blas.py::test_gesv[100-s]created
4 months ago
, last run
10 hours ago
0
264
257.1 µs
test_gesv[100-z]benchmark/pybench/benchmarks/bench_blas.py::test_gesv[100-z]created
4 months ago
, last run
10 hours ago
0
264
935.3 µs
test_gesv[1000-c]benchmark/pybench/benchmarks/bench_blas.py::test_gesv[1000-c]created
4 months ago
, last run
10 hours ago
0
264
188.6 ms
test_gesv[1000-d]benchmark/pybench/benchmarks/bench_blas.py::test_gesv[1000-d]created
4 months ago
, last run
10 hours ago
0
264
93.3 ms
test_gesv[1000-s]benchmark/pybench/benchmarks/bench_blas.py::test_gesv[1000-s]created
4 months ago
, last run
10 hours ago
0
264
52.6 ms
test_gesv[1000-z]benchmark/pybench/benchmarks/bench_blas.py::test_gesv[1000-z]created
4 months ago
, last run
10 hours ago
0
264
353.4 ms
test_nrm2[100-d]benchmark/pybench/benchmarks/bench_blas.py::test_nrm2[100-d]created
4 months ago
, last run
10 hours ago
0
264
33.7 µs
test_nrm2[100-dz]benchmark/pybench/benchmarks/bench_blas.py::test_nrm2[100-dz]created
4 months ago
, last run
10 hours ago
0
264
26.8 µs
test_nrm2[1000-d]benchmark/pybench/benchmarks/bench_blas.py::test_nrm2[1000-d]created
4 months ago
, last run
10 hours ago
0
264
29.9 µs
test_nrm2[1000-dz]benchmark/pybench/benchmarks/bench_blas.py::test_nrm2[1000-dz]created
4 months ago
, last run
10 hours ago
0
264
35 µs
test_syev[200-d]benchmark/pybench/benchmarks/bench_blas.py::test_syev[200-d]created
4 months ago
, last run
10 hours ago
0
264
58.4 ms
test_syev[200-s]benchmark/pybench/benchmarks/bench_blas.py::test_syev[200-s]created
4 months ago
, last run
10 hours ago
0
264
48.5 ms
test_syev[50-d]benchmark/pybench/benchmarks/bench_blas.py::test_syev[50-d]created
4 months ago
, last run
10 hours ago
0
264
1.4 ms
test_syev[50-s]benchmark/pybench/benchmarks/bench_blas.py::test_syev[50-s]created
4 months ago
, last run
10 hours ago
0
264
1.3 ms
test_syrk[100-c]benchmark/pybench/benchmarks/bench_blas.py::test_syrk[100-c]created
4 months ago
, last run
10 hours ago
0
264
471.5 µs
test_syrk[100-d]benchmark/pybench/benchmarks/bench_blas.py::test_syrk[100-d]created
4 months ago
, last run
10 hours ago
0
264
339 µs
test_syrk[100-s]benchmark/pybench/benchmarks/bench_blas.py::test_syrk[100-s]created
4 months ago
, last run
10 hours ago
0
264
212.7 µs
test_syrk[100-z]benchmark/pybench/benchmarks/bench_blas.py::test_syrk[100-z]created
4 months ago
, last run
10 hours ago
0
264
855.1 µs
test_syrk[1000-c]benchmark/pybench/benchmarks/bench_blas.py::test_syrk[1000-c]created
4 months ago
, last run
10 hours ago
0
264
227.5 ms
test_syrk[1000-d]benchmark/pybench/benchmarks/bench_blas.py::test_syrk[1000-d]created
4 months ago
, last run
10 hours ago
0
264
130.4 ms
test_syrk[1000-s]benchmark/pybench/benchmarks/bench_blas.py::test_syrk[1000-s]created
4 months ago
, last run
10 hours ago
0
264
65.4 ms
test_syrk[1000-z]benchmark/pybench/benchmarks/bench_blas.py::test_syrk[1000-z]created
4 months ago
, last run
10 hours ago
0
264
476.4 ms
ResourcesHomePricingDocsBlogGitHubChangelog
Copyright © 2024 CodSpeed Technology SAS. All rights reserved.