BlogDocs

ci(pre-commit.ci): autoupdate(#317)

Merged
Comparing
pre-commit-ci-update-config
(
a587e3f
) with
main
(
7eb7e3f
)
0%
IMPROVEMENTS
0
REGRESSIONS
0
UNTOUCHED
66
NEW
0
DROPPED
0
IGNORED
0

Benchmarks

Passed

test_emit_time[real_func-2]
tests/test_bench.py::test_emit_time[real_func-2]
+4%
67.7 µs
65.4 µs
test_connect_time[lambda-]
tests/test_bench.py::test_connect_time[lambda-]
+2%
141.2 µs
138.9 µs
test_connect_time[function-]
tests/test_bench.py::test_connect_time[function-]
+1%
141.5 µs
139.4 µs
test_connect_time[lambda-check_types]
tests/test_bench.py::test_connect_time[lambda-check_types]
+1%
145 µs
142.9 µs
test_connect_time[real_func-]
tests/test_bench.py::test_connect_time[real_func-]
+1%
126 µs
124.2 µs
test_connect_time[real_func-check_types]
tests/test_bench.py::test_connect_time[real_func-check_types]
+1%
128.9 µs
127.2 µs
test_dataclass_group_create[attrs]
tests/test_bench.py::test_dataclass_group_create[attrs]
+1%
542 µs
535.3 µs
test_connect_time[method-]
tests/test_bench.py::test_connect_time[method-]
+1%
177.5 µs
175.4 µs
test_connect_time[method-check_types]
tests/test_bench.py::test_connect_time[method-check_types]
+1%
185.9 µs
183.6 µs
test_connect_time[function-check_types]
tests/test_bench.py::test_connect_time[function-check_types]
+1%
157.5 µs
155.6 µs
test_emit_time[real_func-50]
tests/test_bench.py::test_emit_time[real_func-50]
+1%
169.1 µs
167.2 µs
test_emit_time[real_func-18]
tests/test_bench.py::test_emit_time[real_func-18]
+1%
100.5 µs
99.4 µs
test_dataclass_group_create[dataclass]
tests/test_bench.py::test_dataclass_group_create[dataclass]
+1%
528.3 µs
522.4 µs
test_connect_time[partial_method-check_types]
tests/test_bench.py::test_connect_time[partial_method-check_types]
+1%
285.1 µs
282.2 µs
test_connect_time[print-]
tests/test_bench.py::test_connect_time[print-]
+1%
146.8 µs
145.3 µs
test_connect_time[print-check_types]
tests/test_bench.py::test_connect_time[print-check_types]
+1%
206.8 µs
204.9 µs
test_dataclass_group_create[msgspec]
tests/test_bench.py::test_dataclass_group_create[msgspec]
+1%
543.7 µs
538.9 µs
test_emit_time[function-34]
tests/test_bench.py::test_emit_time[function-34]
+1%
86.6 µs
86 µs
test_dataclass_group_create[pydantic]
tests/test_bench.py::test_dataclass_group_create[pydantic]
+1%
542.8 µs
539.1 µs
test_emit_time[partial_method-2]
tests/test_bench.py::test_emit_time[partial_method-2]
+1%
64.7 µs
64.3 µs
test_emit_time[lambda-50]
tests/test_bench.py::test_emit_time[lambda-50]
+1%
100.9 µs
100.3 µs
test_emit_time[partial-18]
tests/test_bench.py::test_emit_time[partial-18]
+1%
86.2 µs
85.7 µs
test_emit_time[real_func-34]
tests/test_bench.py::test_emit_time[real_func-34]
+1%
134.8 µs
134.1 µs
test_create_signal
tests/test_bench.py::test_create_signal
0%
76 µs
75.7 µs
test_emit_time[function-2]
tests/test_bench.py::test_emit_time[function-2]
0%
64 µs
63.8 µs
test_emit_time[lambda-18]
tests/test_bench.py::test_emit_time[lambda-18]
0%
72.3 µs
72.1 µs
test_emit_time[partial_method-34]
tests/test_bench.py::test_emit_time[partial_method-34]
0%
122.4 µs
122.2 µs
test_emit_time[function-50]
tests/test_bench.py::test_emit_time[function-50]
0%
100.7 µs
100.5 µs
test_emit_time[method-34]
tests/test_bench.py::test_emit_time[method-34]
0%
103.8 µs
103.6 µs
test_emit_time[setattr-50]
tests/test_bench.py::test_emit_time[setattr-50]
0%
76.6 µs
76.5 µs
test_emit_time[method-2]
tests/test_bench.py::test_emit_time[method-2]
0%
62.1 µs
62 µs
test_dataclass_setattr[dataclass]
tests/test_bench.py::test_dataclass_setattr[dataclass]
0%
755.6 µs
754.2 µs
test_emit_time[lambda-34]
tests/test_bench.py::test_emit_time[lambda-34]
0%
86.5 µs
86.4 µs
test_dataclass_setattr[attrs]
tests/test_bench.py::test_dataclass_setattr[attrs]
0%
769.5 µs
768.6 µs
test_emit_time[setitem-50]
tests/test_bench.py::test_emit_time[setitem-50]
0%
93.9 µs
93.8 µs
test_emit_time[setattr-2]
tests/test_bench.py::test_emit_time[setattr-2]
0%
56.2 µs
56.1 µs
test_emit_time[function-18]
tests/test_bench.py::test_emit_time[function-18]
0%
72.3 µs
72.3 µs
test_emit_time[lambda-2]
tests/test_bench.py::test_emit_time[lambda-2]
0%
59.4 µs
59.4 µs
test_emit_time[method-50]
tests/test_bench.py::test_emit_time[method-50]
0%
124.5 µs
124.5 µs
test_emit_time[print-2]
tests/test_bench.py::test_emit_time[print-2]
0%
85 µs
84.9 µs
test_emit_time[partial_method-18]
tests/test_bench.py::test_emit_time[partial_method-18]
0%
93.6 µs
93.6 µs
test_evented_creation
tests/test_bench.py::test_evented_creation
0%
1.7 ms
1.7 ms
test_connect_time[partial-check_types]
tests/test_bench.py::test_connect_time[partial-check_types]
0%
256.3 µs
256.3 µs
test_emit_time[partial-50]
tests/test_bench.py::test_emit_time[partial-50]
0%
132.9 µs
132.9 µs
test_connect_time[partial-]
tests/test_bench.py::test_connect_time[partial-]
0%
244.5 µs
244.5 µs
test_emit_time[setitem-34]
tests/test_bench.py::test_emit_time[setitem-34]
0%
81.9 µs
81.9 µs
test_emit_time[partial-2]
tests/test_bench.py::test_emit_time[partial-2]
0%
61.1 µs
61.1 µs
test_emit_time[setattr-18]
tests/test_bench.py::test_emit_time[setattr-18]
0%
62.7 µs
62.7 µs
test_emit_time[print-18]
tests/test_bench.py::test_emit_time[print-18]
0%
175.4 µs
175.6 µs
test_emit_time[print-50]
tests/test_bench.py::test_emit_time[print-50]
0%
369.8 µs
370.2 µs
test_emit_time[setitem-2]
tests/test_bench.py::test_emit_time[setitem-2]
0%
58.1 µs
58.2 µs
test_evented_setattr
tests/test_bench.py::test_evented_setattr
0%
26.9 µs
27 µs
test_emit_time[print-34]
tests/test_bench.py::test_emit_time[print-34]
0%
272 µs
272.7 µs
test_create_signal_instance
tests/test_bench.py::test_create_signal_instance
0%
33.3 µs
33.4 µs
test_dataclass_setattr[msgspec]
tests/test_bench.py::test_dataclass_setattr[msgspec]
0%
769.9 µs
772.5 µs
test_dataclass_setattr[pydantic]
tests/test_bench.py::test_dataclass_setattr[pydantic]
0%
860.4 µs
863.9 µs
test_emit_time[setattr-34]
tests/test_bench.py::test_emit_time[setattr-34]
0%
69.8 µs
70.1 µs
test_emit_time[partial-34]
tests/test_bench.py::test_emit_time[partial-34]
0%
109 µs
109.5 µs
test_emit_time[method-18]
tests/test_bench.py::test_emit_time[method-18]
0%
82.6 µs
83 µs
test_emit_time[setitem-18]
tests/test_bench.py::test_emit_time[setitem-18]
-1%
69.6 µs
69.9 µs
test_connect_time[partial_method-]
tests/test_bench.py::test_connect_time[partial_method-]
-1%
274.6 µs
276.1 µs
test_emit_time[partial_method-50]
tests/test_bench.py::test_emit_time[partial_method-50]
-1%
151.7 µs
152.6 µs
test_connect_time[setitem-check_types]
tests/test_bench.py::test_connect_time[setitem-check_types]
-1%
65 µs
65.6 µs
test_connect_time[setattr-]
tests/test_bench.py::test_connect_time[setattr-]
-3%
60.1 µs
62 µs
test_connect_time[setitem-]
tests/test_bench.py::test_connect_time[setitem-]
-4%
60.6 µs
62.9 µs
test_connect_time[setattr-check_types]
tests/test_bench.py::test_connect_time[setattr-check_types]
-4%
65.6 µs
68.1 µs

Commits

Click on a commit to change the comparison range
base
main
7eb7e3f
0%
ci(pre-commit.ci): autoupdate updates: - [github.com/crate-ci/typos: v1.22.0 → typos-dict-v0.11.27](https://github.com/crate-ci/typos/compare/v1.22.0...typos-dict-v0.11.27) - [github.com/astral-sh/ruff-pre-commit: v0.4.7 → v0.6.3](https://github.com/astral-sh/ruff-pre-commit/compare/v0.4.7...v0.6.3) - [github.com/abravalheri/validate-pyproject: v0.18 → v0.19](https://github.com/abravalheri/validate-pyproject/compare/v0.18...v0.19) - [github.com/pre-commit/mirrors-mypy: v1.10.0 → v1.11.2](https://github.com/pre-commit/mirrors-mypy/compare/v1.10.0...v1.11.2)
15d0411
2 months ago
by pre-commit-ci[bot]
0%
lint
a587e3f
2 months ago
by tlambert03
ResourcesHomePricingDocsBlogGitHubChangelog
Copyright © 2024 CodSpeed Technology SAS. All rights reserved.