BlogDocs

GitHub Actions
run

Base runon
master
at
f01f5e7
compared to head run on
master
at
3c30c3c
from
6 months ago
0%
IMPROVEMENTS
0
REGRESSIONS
0
UNTOUCHED
97
NEW
0
DROPPED
0
IGNORED
0

Benchmarks

Passed

test_benchmark_feets
light-curve/tests/test_w_bench.py::TestMedianAbsoluteDeviation::test_benchmark_feets
+2%
329 µs
322.5 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestMedianBufferRangePercentage::test_benchmark_rust
+2%
103.6 µs
101.6 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestMedian::test_benchmark_rust
+1%
100.8 µs
99.5 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestInterPercentileRange::test_benchmark_rust
+1%
99.9 µs
98.7 µs
test_benchmark_feets
light-curve/tests/test_w_bench.py::TestPercentDifferenceMagnitudePercentile::test_benchmark_feets
+1%
285.2 µs
282.3 µs
test_benchmark_feets
light-curve/tests/test_w_bench.py::TestPercentAmplitude::test_benchmark_feets
+1%
273.2 µs
270.6 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestBeyond1Std::test_benchmark_rust
+1%
40.3 µs
40 µs
test_benchmark_naive
light-curve/tests/test_w_bench.py::TestBazinFitCeres::test_benchmark_naive
+1%
8.5 ms
8.4 ms
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestMedianBufferRangePercentage::test_benchmark_lc_py
+1%
283.1 µs
281.5 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestAndersonDarlingNormal::test_benchmark_rust
+1%
192.9 µs
191.8 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestMean::test_benchmark_rust
0%
36.3 µs
36.2 µs
test_benchmark_naive
light-curve/tests/test_w_bench.py::TestMean::test_benchmark_naive
0%
86.6 µs
86.2 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestMeanVariance::test_benchmark_rust
0%
38.7 µs
38.6 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestEtaE::test_benchmark_lc_py
0%
269.5 µs
268.4 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestCusum::test_benchmark_rust
0%
45.1 µs
44.9 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestMean::test_benchmark_lc_py
0%
144.8 µs
144.2 µs
test_benchmark_naive
light-curve/tests/test_w_bench.py::TestWeightedMean::test_benchmark_naive
0%
166 µs
165.3 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestAmplitude::test_benchmark_rust
0%
47.1 µs
46.9 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestMaximumSlope::test_benchmark_rust
0%
47.1 µs
46.9 µs
test_benchmark_naive
light-curve/tests/test_w_bench.py::TestReducedChi2::test_benchmark_naive
0%
201 µs
200.4 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestWeightedMean::test_benchmark_rust
0%
52.6 µs
52.5 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestLinearTrend::test_benchmark_rust
0%
48.5 µs
48.4 µs
test_benchmark_feets
light-curve/tests/test_w_bench.py::TestMaximumSlope::test_benchmark_feets
0%
242.2 µs
241.5 µs
test_benchmark_naive
light-curve/tests/test_w_bench.py::TestEta::test_benchmark_naive
0%
199.2 µs
198.6 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestEta::test_benchmark_rust
0%
41.3 µs
41.2 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestStetsonK::test_benchmark_lc_py
0%
318.7 µs
318 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestOtsuSplit::test_benchmark_lc_py
0%
474.8 µs
473.8 µs
test_benchmark_naive
light-curve/tests/test_w_bench.py::TestPercentAmplitude::test_benchmark_naive
0%
210.9 µs
210.5 µs
test_benchmark_naive
light-curve/tests/test_w_bench.py::TestSkew::test_benchmark_naive
0%
1.2 ms
1.2 ms
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestEtaE::test_benchmark_rust
0%
49 µs
49 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestSkew::test_benchmark_rust
0%
40.7 µs
40.7 µs
test_benchmark_naive
light-curve/tests/test_w_bench.py::TestBeyond1Std::test_benchmark_naive
0%
218.3 µs
218.1 µs
test_benchmark_naive
light-curve/tests/test_w_bench.py::TestLinearTrend::test_benchmark_naive
0%
807.8 µs
807 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestOtsuSplit::test_benchmark_rust
0%
163.8 µs
163.7 µs
test_benchmark_naive
light-curve/tests/test_w_bench.py::TestAmplitude::test_benchmark_naive
0%
83.3 µs
83.2 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestMeanVariance::test_benchmark_lc_py
0%
237.7 µs
237.5 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestBenchmarkParallel::test_benchmark_rust
0%
394 µs
393.8 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestSkew::test_benchmark_lc_py
0%
1.2 ms
1.2 ms
test_benchmark_naive
light-curve/tests/test_w_bench.py::TestBazinFitLmsder::test_benchmark_naive
0%
8.4 ms
8.4 ms
test_benchmark_feets
light-curve/tests/test_w_bench.py::TestBeyond1Std::test_benchmark_feets
0%
666.6 µs
666.4 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestWeightedMean::test_benchmark_lc_py
0%
254.6 µs
254.5 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestPercentAmplitude::test_benchmark_rust
0%
104.7 µs
104.7 µs
test_benchmark_naive
light-curve/tests/test_w_bench.py::TestStetsonK::test_benchmark_naive
0%
219.8 µs
219.8 µs
test_benchmark_feets
light-curve/tests/test_w_bench.py::TestSkew::test_benchmark_feets
0%
1.1 ms
1.1 ms
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestStetsonK::test_benchmark_rust
0%
59.4 µs
59.4 µs
test_benchmark_feets
light-curve/tests/test_w_bench.py::TestMeanVariance::test_benchmark_feets
0%
251.6 µs
251.6 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestBazinFitLmsder::test_benchmark_rust
0%
7.9 ms
7.9 ms
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestOtsuSplitThreshold::test_benchmark_rust
0%
152.9 µs
152.9 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestBazinFitCeres::test_benchmark_rust
0%
13.6 ms
13.6 ms
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestExcessVariance::test_benchmark_lc_py
0%
326.5 µs
326.5 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestStandardDeviation::test_benchmark_rust
0%
38 µs
38 µs
test_benchmark_feets
light-curve/tests/test_w_bench.py::TestKurtosis::test_benchmark_feets
0%
725 µs
725.3 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestBeyond1Std::test_benchmark_lc_py
0%
267 µs
267.1 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestExcessVariance::test_benchmark_rust
0%
50 µs
50.1 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestAmplitude::test_benchmark_lc_py
0%
140.9 µs
140.9 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestKurtosis::test_benchmark_lc_py
0%
712.8 µs
713.4 µs
test_benchmark_feets
light-curve/tests/test_w_bench.py::TestStandardDeviation::test_benchmark_feets
0%
231.5 µs
231.7 µs
test_benchmark_naive
light-curve/tests/test_w_bench.py::TestMaximumSlope::test_benchmark_naive
0%
112.6 µs
112.7 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestAllFeets::test_benchmark_rust
0%
327.1 µs
327.4 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestInterPercentileRange::test_benchmark_lc_py
0%
322.3 µs
322.6 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestMaximumSlope::test_benchmark_lc_py
0%
170.9 µs
171.1 µs
test_benchmark_naive
light-curve/tests/test_w_bench.py::TestKurtosis::test_benchmark_naive
0%
1.3 ms
1.3 ms
test_benchmark_feets
light-curve/tests/test_w_bench.py::TestCusum::test_benchmark_feets
0%
326.2 µs
326.5 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestLinearTrend::test_benchmark_lc_py
0%
431 µs
431.5 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestAllPy::test_benchmark_lc_py
0%
9.8 ms
9.8 ms
test_benchmark_naive
light-curve/tests/test_w_bench.py::TestAllNaive::test_benchmark_naive
0%
5.1 ms
5.1 ms
test_benchmark_feets
light-curve/tests/test_w_bench.py::TestEtaE::test_benchmark_feets
0%
1.1 ms
1.1 ms
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestAndersonDarlingNormal::test_benchmark_lc_py
0%
1.5 ms
1.5 ms
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestPercentAmplitude::test_benchmark_lc_py
0%
269.3 µs
269.7 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestKurtosis::test_benchmark_rust
0%
40.5 µs
40.5 µs
test_benchmark_feets
light-curve/tests/test_w_bench.py::TestAndersonDarlingNormal::test_benchmark_feets
0%
1.4 ms
1.4 ms
test_benchmark_naive
light-curve/tests/test_w_bench.py::TestMedian::test_benchmark_naive
0%
178.5 µs
178.8 µs
test_benchmark_naive
light-curve/tests/test_w_bench.py::TestStandardDeviation::test_benchmark_naive
0%
167.8 µs
168.2 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestReducedChi2::test_benchmark_rust
0%
55.6 µs
55.7 µs
test_benchmark_naive
light-curve/tests/test_w_bench.py::TestExcessVariance::test_benchmark_naive
0%
210.8 µs
211.2 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestAllNaive::test_benchmark_rust
0%
262.8 µs
263.6 µs
test_benchmark_naive
light-curve/tests/test_w_bench.py::TestMeanVariance::test_benchmark_naive
0%
188 µs
188.6 µs
test_benchmark_feets
light-curve/tests/test_w_bench.py::TestMean::test_benchmark_feets
0%
152.7 µs
153.2 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestBenchmarkParallel::test_benchmark_lc_py
0%
9.8 ms
9.9 ms
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestMedianAbsoluteDeviation::test_benchmark_rust
0%
163 µs
163.6 µs
test_benchmark_feets
light-curve/tests/test_w_bench.py::TestAllFeets::test_benchmark_feets
0%
6.3 ms
6.3 ms
test_benchmark_naive
light-curve/tests/test_w_bench.py::TestAndersonDarlingNormal::test_benchmark_naive
0%
1.4 ms
1.4 ms
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestAllPy::test_benchmark_rust
0%
391.9 µs
393.5 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestEta::test_benchmark_lc_py
0%
247.4 µs
248.4 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestMedianAbsoluteDeviation::test_benchmark_lc_py
0%
305.8 µs
307.3 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestReducedChi2::test_benchmark_lc_py
0%
290.7 µs
292.1 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestCusum::test_benchmark_lc_py
-1%
299.2 µs
300.9 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestStandardDeviation::test_benchmark_lc_py
-1%
217.1 µs
218.6 µs
test_benchmark_feets
light-curve/tests/test_w_bench.py::TestLinearTrend::test_benchmark_feets
-1%
478.7 µs
482.2 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestOtsuSplitThreshold::test_benchmark_lc_py
-1%
265.7 µs
267.8 µs
test_benchmark_feets
light-curve/tests/test_w_bench.py::TestMedianBufferRangePercentage::test_benchmark_feets
-1%
307.6 µs
310 µs
test_benchmark_feets
light-curve/tests/test_w_bench.py::TestInterPercentileRange::test_benchmark_feets
-1%
625.1 µs
630.7 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestMedian::test_benchmark_lc_py
-1%
228.1 µs
230.4 µs
test_benchmark_lc_py
light-curve/tests/test_w_bench.py::TestPercentDifferenceMagnitudePercentile::test_benchmark_lc_py
-1%
405.7 µs
409.8 µs
test_benchmark_feets
light-curve/tests/test_w_bench.py::TestStetsonK::test_benchmark_feets
-1%
262.5 µs
265.2 µs
test_benchmark_rust
light-curve/tests/test_w_bench.py::TestPercentDifferenceMagnitudePercentile::test_benchmark_rust
-2%
100.7 µs
102.4 µs
test_benchmark_naive
light-curve/tests/test_w_bench.py::TestEtaE::test_benchmark_naive
-2%
221.4 µs
225.3 µs
ResourcesHomePricingDocsBlogGitHubChangelog
Copyright © 2024 CodSpeed Technology SAS. All rights reserved.