BlogDocs

GitHub Actions
run

Base runon
main
at
07f4063
compared to head run on
main
at
532913f
from
8 months ago
-12%
IMPROVEMENTS
1
REGRESSIONS
1
UNTOUCHED
19
NEW
0
DROPPED
0
IGNORED
0

Benchmarks

Failed

test_benchmark_iter[reduce]Regression
iterpy/test_benchmark_iter.py::test_benchmark_iter[reduce]
-12%
405.7 µs
460.3 µs

Improved

test_benchmark_iter[all]
iterpy/test_benchmark_iter.py::test_benchmark_iter[all]
+35%
948.9 µs
701.8 µs

Passed

test_benchmark_iter[last]
iterpy/test_benchmark_iter.py::test_benchmark_iter[last]
+10%
240.8 µs
219.3 µs
test_benchmark_iter[zip]
iterpy/test_benchmark_iter.py::test_benchmark_iter[zip]
+4%
182.3 µs
174.7 µs
test_benchmark_iter[unique]
iterpy/test_benchmark_iter.py::test_benchmark_iter[unique]
+4%
295.9 µs
285 µs
test_benchmark_iter[count]
iterpy/test_benchmark_iter.py::test_benchmark_iter[count]
+3%
323.4 µs
314.5 µs
test_benchmark_iter[to_set]
iterpy/test_benchmark_iter.py::test_benchmark_iter[to_set]
+3%
261.6 µs
254.7 µs
test_benchmark_iter[enumerate]
iterpy/test_benchmark_iter.py::test_benchmark_iter[enumerate]
+2%
621.2 µs
608.1 µs
test_benchmark_iter[to_list]
iterpy/test_benchmark_iter.py::test_benchmark_iter[to_list]
+2%
155 µs
152.1 µs
test_benchmark_iter[rev]
iterpy/test_benchmark_iter.py::test_benchmark_iter[rev]
+1%
180.2 µs
177.6 µs
test_benchmark_iter[take]
iterpy/test_benchmark_iter.py::test_benchmark_iter[take]
+1%
160.1 µs
158.2 µs
test_benchmark_iter[any]
iterpy/test_benchmark_iter.py::test_benchmark_iter[any]
+1%
166.1 µs
164.4 µs
test_benchmark_iter[to_consumable]
iterpy/test_benchmark_iter.py::test_benchmark_iter[to_consumable]
+1%
156.1 µs
154.6 µs
test_benchmark_iter[find]
iterpy/test_benchmark_iter.py::test_benchmark_iter[find]
+1%
157.6 µs
156.7 µs
test_benchmark_iter[flatten]
iterpy/test_benchmark_iter.py::test_benchmark_iter[flatten]
0%
1.9 ms
1.9 ms
test_benchmark_iter[to_tuple]
iterpy/test_benchmark_iter.py::test_benchmark_iter[to_tuple]
0%
184.4 µs
184.2 µs
test_benchmark_iter[filter]
iterpy/test_benchmark_iter.py::test_benchmark_iter[filter]
-2%
585.3 µs
596.7 µs
test_benchmark_large_flattening
iterpy/test_iter.py::test_benchmark_large_flattening
-3%
535 ms
549.9 ms
test_benchmark_iter[unique_by]
iterpy/test_benchmark_iter.py::test_benchmark_iter[unique_by]
-3%
984.6 µs
1,019.5 µs
test_benchmark_iter[groupby]
iterpy/test_benchmark_iter.py::test_benchmark_iter[groupby]
-5%
2.7 ms
2.9 ms
test_benchmark_iter[map]
iterpy/test_benchmark_iter.py::test_benchmark_iter[map]
-8%
451.2 µs
490 µs
ResourcesHomePricingDocsBlogGitHubChangelog
Copyright © 2024 CodSpeed Technology SAS. All rights reserved.