BlogDocs

GitHub Actions
run

Base runon
develop
at
fdb88e0
compared to head run on
develop
at
8bc37f9
from
3 months ago
0%
IMPROVEMENTS
0
REGRESSIONS
0
UNTOUCHED
16
NEW
0
DROPPED
0
IGNORED
0

Benchmarks

Passed

test_nrm2[100]
benchmark/pybench/benchmarks/bench_blas.py::test_nrm2[100]
+1%
34.6 µs
34.3 µs
test_nrm2[1000]
benchmark/pybench/benchmarks/bench_blas.py::test_nrm2[1000]
0%
31 µs
30.8 µs
test_gesv[100]
benchmark/pybench/benchmarks/bench_blas.py::test_gesv[100]
0%
397.2 µs
395.6 µs
test_dot[1000]
benchmark/pybench/benchmarks/bench_blas.py::test_dot[1000]
0%
28.7 µs
28.6 µs
test_daxpy[1000]
benchmark/pybench/benchmarks/bench_blas.py::test_daxpy[1000]
0%
31.2 µs
31.1 µs
test_daxpy[100]
benchmark/pybench/benchmarks/bench_blas.py::test_daxpy[100]
0%
25.4 µs
25.4 µs
test_syev[50]
benchmark/pybench/benchmarks/bench_blas.py::test_syev[50]
0%
1.4 ms
1.4 ms
test_gemm[100]
benchmark/pybench/benchmarks/bench_blas.py::test_gemm[100]
0%
471.5 µs
471.4 µs
test_gemm[1000]
benchmark/pybench/benchmarks/bench_blas.py::test_gemm[1000]
0%
239.4 ms
239.4 ms
test_gesdd[mn1]
benchmark/pybench/benchmarks/bench_blas.py::test_gesdd[mn1]
0%
92.8 ms
92.8 ms
test_syrk[1000]
benchmark/pybench/benchmarks/bench_blas.py::test_syrk[1000]
0%
130.3 ms
130.3 ms
test_gesv[1000]
benchmark/pybench/benchmarks/bench_blas.py::test_gesv[1000]
0%
93.3 ms
93.3 ms
test_syev[200]
benchmark/pybench/benchmarks/bench_blas.py::test_syev[200]
0%
58.4 ms
58.4 ms
test_gesdd[mn0]
benchmark/pybench/benchmarks/bench_blas.py::test_gesdd[mn0]
0%
119.6 µs
119.9 µs
test_dot[100]
benchmark/pybench/benchmarks/bench_blas.py::test_dot[100]
0%
23.9 µs
24 µs
test_syrk[100]
benchmark/pybench/benchmarks/bench_blas.py::test_syrk[100]
0%
339.9 µs
341.6 µs
ResourcesHomePricingDocsBlogGitHubChangelog
Copyright © 2024 CodSpeed Technology SAS. All rights reserved.